Announcement

Collapse
No announcement yet.
X
  • Filter
  • Time
  • Show
Clear All
new posts

  • #16
    Thanks

    Comment


    • #17
      I had the same 'unknown function' issue when attempting to run
      Code:
      egen newvar = cond()
      However, I had no issues once I used gen instead of egen. See if gen works for you too.
      Code:
      gen newvar = cond()

      Comment


      • #18
        Late to this party, but #17 is a different and soluble problem from most in this thread. cond() is a general Stata function, not an egen function, and using generate not egen is indeed the way to go.

        Comment

        Working...
        X